Menu

Blog

Jan 6, 2024

TSMC won’t adopt advanced High-NA EUV chipmaking tools until 2030 or later — Intel just received its first tool this week: Report

Posted by in category: futurism

This week, Intel began to receive its first ASML’s extreme ultraviolet (EUV) lithography tool with a 0.55 numerical aperture (High-NA), which it will use to learn how to use the technology before deploying the machines for a post-18A production node in the next couple of years or so. By contrast, TSMC is in no rush to adopt High-NA EUV any time soon, and it might be years before the company jumps on this bandwagon in 2030 or beyond, according to analysts from both China Renaissance and SemiAnalysis.

“In contrast to Intel’s use of High-NA EUV soon after its shift to GAA (planned for [20A] insertion), we expect TSMC’sHigh-NA EUV insertion in the post N1.4 era (the inflection likely at N1, scheduled for post-2030 launch),” wrote Szeho Ng, an analyst with China Renaissance.

Leave a reply